martes, 13 de julio de 2010

Mux Genérico: elaborado, pero cortito y muy útil . . .


 1 library ieee;
 2 use ieee.std_logic_1164.all;
 3 use ieee.numeric_std.all;
 4
 5 entity mux_clq is
 6  generic(mux_bits_sel: positive:=4);
 7  port(
 8   mux_inp: in std_logic_vector((2**mux_bits_sel)-1 downto 0);
 9   mux_sel: in std_logic_vector(mux_bits_sel-1 downto 0);
10   mux_out: out std_logic
11   );
12 end mux_clq;
13
14 architecture mux_beh of mux_clq is
15 begin
16   mux_out <= mux_inp(to_integer(unsigned(mux_sel)));    
17 end mux_beh;

1 comentario: